loading...
فروشگاه اینترنتی
behrad بازدید : 19 دوشنبه 19 خرداد 1399 نظرات (0)



نوع فایل : PDF تعداد صفحات: 8 نصب نرم افزار Xilinx ISE شامل چندین ابزار کمتر شناخته شده‌ی حالت Command-lineمی باشد که ممکن است برای طراحان FPGA کاربردی باشد. ISE Project Navigator و PlanAheadاین ابزارها را در پشت صحنه به عنوان بخشی از جریان پیاده سازی طرح بر روی FPGA، فراخوانی می کنند. ابزارها یا ضعیف مستند سازی شده اند یا اصلا مستند سازی نشده اند.که موجب عدم توجه کاربران به آنها می‌شود. ...



ارسال نظر برای این مطلب

کد امنیتی رفرش
اطلاعات کاربری
  • فراموشی رمز عبور؟
  • آرشیو
    آمار سایت
  • کل مطالب : 390
  • کل نظرات : 0
  • افراد آنلاین : 2
  • تعداد اعضا : 0
  • آی پی امروز : 12
  • آی پی دیروز : 17
  • بازدید امروز : 151
  • باردید دیروز : 19
  • گوگل امروز : 0
  • گوگل دیروز : 0
  • بازدید هفته : 262
  • بازدید ماه : 605
  • بازدید سال : 5,227
  • بازدید کلی : 11,912